The artificial intelligence revolution is crowning new titans in the stock market, most notably Nvidia. But it's also giving a healthy boost to all of the equipment stocks that help produce Nvidia processors and all the memory needed for huge AI systems too.

Case in point: the latest earnings release and commentary from Lam Research (LRCX 2.65%), which beat analyst expectations in its second fiscal quarter.

Although Lam is still coming out of a rather severe downturn in its NAND flash memory business, the company has managed fairly resilient results over the past year, earning $27.33 per share at the trough of the cycle.

Moreover, management forecast a nice recovery on continued growth of new AI applications, which happens to require chipmaking techniques in which Lam excels.

Leading semicaps are a great business

Lam Research is a leader in etch and deposition, fundamental steps in the production of all semiconductors and memory chips. Encouragingly, Lam really only competes with just one or two large semicap competitors when it comes to those critical chipmaking steps.

What makes the semicap industry so attractive is that for a lot of the individual process steps, of which there are thousands in the production of just a single chip, just one or two companies tend to dominate that step. Lam CEO Tim Archer noted on the recent conference call with analysts:

[T]he learning you get from now running those upgraded chambers at that next technology node, tends to seed all of the ideas and understanding of the challenges that need to be solved at the next node. And I think that's why the installed base positions and incumbent positions tend to be very difficult to break in this industry. And we've tried to break into others. And so we know that very well.

This is why I've written extensively about the semiconductor equipment sector, and how it's really a wide-moat, recurring revenue business, even if results have to go through cyclical ebbs and flows year-to-year.

Lam's stock is going vertical by helping customers do the same

Fortunately for Lam, the particular process steps that it dominates are etch, deposition, and packaging techniques that facilitate the vertical stacking of chip materials. This enabled Lam to dominate the NAND flash industry back when it began stacking NAND modules in a vertical fashion starting in 2012.

Unfortunately, the NAND industry went into one of its worst downturns ever about two years ago. However, not only is NAND poised for an eventual cyclical recovery, but now AI chips, both in the form of chiplet-based logic processors as well as high-bandwidth DRAM memory (HBM), are now requiring "verticalization" for the first time. So it's kind of like a 2012 moment again, but with perhaps an even bigger market opportunity across logic and DRAM.

In fact, on the same conference call, Archer noted that "we've talked about the fact that in high bandwidth memory, Lam has a 100% market share of the critical technologies needed for stacking the DRAM." You read that right -- 100% market share of that critical step. Archer also went on to note Lam expects its HBM-related advanced packaging equipment revenue to more than triple in 2024.

HBM is currently a bottleneck for AI applications and should see hyper-growth this year. So needless to say, that plays to Lam's strengths, and it's why Lam should continue to gain market share in the AI arena in 2024.

Semiconductor wafers going through a production line.

Image source: Getty Images.

Lam keeps its eyes on the future

But management isn't resting on its laurels, pointing out continued R&D investments in four key areas that will enable better AI chips going forward. These four specified technologies include new gate-all-around transistors, to which all leading logic customers are transitioning on the upcoming 2nm node. The new transistor structure is more complicated to execute, and should increase etch and deposition intensity, helping Lam's revenue.

Additionally, Lam is investing in technology that enables "backside power," which moves the power i/o controller to the back of a chip, opening up more surface area on the die to fit more transistors.

Lam is also further investing in advanced packaging etch and deposition equipment, which will enable "chiplet" architectures used by Advanced Micro Devices' new MI300 AI chip, and likely future AI chips from Intel and others.

Finally, Lam will continue its close collaboration with ASML Holdings (ASML 2.04%) in dry resist technology in combination with EUV lithography. While ASML's EUV is the critical technology that enables leading-edge chip scaling at 7nm and below, Lam's breakthrough dry resist technology helps refine the resolution of EUV-printed chips, limiting defects and increasing productivity.

AI will help out Lam's high-margin services, too

In addition to the strong incumbency bias, another positive aspect to semicap stocks, and Lam specifically, is its services business. The service business includes spares, maintenance, and other software and intelligence services designed to help equipment function better. It isn't quite "recurring," like software, but it's much steadier than the more volatile equipment business.

In the case of Lam, its services business made up a huge 39% of revenue last quarter, which is a good bit higher than peers. And while the installed base of tool chambers has risen 50% since 2019, Lam management pointed out it has grown its services business by 80% over that time. That means Lam is innovating more services per tool that customers find useful.

Management also highlighted the services business as the place benefiting from the use of artificial intelligence. Not only has Lam developed big data applications that help customers run their tools better and limit defects, but Lam has begun using AI-powered "cobots" to perform equipment service, instead of highly paid engineers.

That should not only enable customers to innovate faster, but should also help limit Lam's costs. Lam already makes a 30% operating margin today, but the increased use of AI in lieu of expensive labor could help those margins expand even further.

All in all, as both an enabler of AI chipmaking and a user of AI to improve its services, Lam is incredibly well-positioned for the coming AI era. Its recent share price spike is well deserved and could very well continue.