GPU giant Nvidia (NVDA 6.18%) officially unveiled its latest AI chip on Monday built on the new Blackwell architecture. The chip links together two GPUs to provide a big performance boost over Nvidia's current-generation offerings, with architectural improvements delivering some performance and efficiency gains as well.

While Nvidia faces an ever-expanding cadre of competitors, including Advanced Micro Devices and Intel (INTC -9.20%), it will be difficult for anyone to catch up with Nvidia in terms of raw AI computing power anytime soon.

A surprising choice by Nvidia

Intel plans to launch its third-generation Gaudi AI accelerator this year, but there's little chance the company will catch up with Nvidia in terms of AI training performance. However, buried in Nvidia's announcement was a piece of good news for Intel's nascent foundry business.

Nvidia is using a custom version of the 4NP process node from Taiwan Semiconductor (TSM 1.26%), or TSMC. The 4NP node was announced way back in 2021 as the third iteration of TSMC's 5nm-class process nodes. Nvidia's current-generation Hopper architecture is built on a custom version of the TSMC 4N process, which preceded 4NP.

The notable thing here is that the 4NP node is not the most advanced node offered by TSMC. Apple currently uses TSMC's 3nm-class nodes for its custom chips. It was widely assumed prior to Nvidia's unveiling that Blackwell would adopt the 3nm-class nodes as well.

But Blackwell will instead use a refined version of the manufacturing process used for Hopper. This choice by Nvidia suggests that the reported challenges TSMC has been facing with its 3nm nodes are big and persistent enough to force one of its biggest customers to use a less advanced process.

Reports over the past few years have painted a picture of struggle for TSMC as rolled out its 3nm-class nodes. A problem with low yields was reported in early 2022. About a year later, it was reported that TSMC was struggling to meet demand from Apple due to continuing yield and tool issues. In late 2023, a report suggested that production was increasing but that TSMC would likely fail to meet demand for customers outside of Apple.

Opening the door for Intel

TSMC's struggles come as Intel is ramping up its own foundry operations. Intel is aiming to become the second-largest foundry by 2030, and a big part of the plan hinges on the Intel 18A process. Set to be ready for production by the start of 2025, Intel expects this process to surpass TSMC's 2nm process, which is expected to enter volume production sometime after Intel 18A.

If TSMC faces similar struggles with its 2nm process, only able to deliver enough capacity for Apple, Intel could have an opening to score a major coup as other chip designers look for alternatives. Microsoft has already chosen Intel 18A for an undisclosed custom chip, and others may follow if Intel doesn't face any delays.

Intel has one important trick up its sleeve: Backside power delivery. This technology shifts the interconnects for power delivery in a way that enables a boost in performance or greater power efficiency. Intel 18A will feature backside power delivery, while TSMC isn't expected to implement the technology until sometime in 2026.

Intel's foundry growth story will play out over many years. It takes time for customer wins to translate into revenue, and Intel 18A isn't even out the door yet. Intel also has a spotty manufacturing track record after facing long delays in the past. But with TSMC having trouble scaling up production, likely forcing Nvidia to stick with less-advanced process nodes, Intel will have an opportunity over the next few years to score some major market share gains.