As it stands today, TSMC dominates the semiconductor foundry market, and Samsung is in a distant second-place position. Well over 50% of global foundry revenue is generated by TSMC, a consequence of the company's significant manufacturing lead over its rivals. Chip designers like Apple and Nvidia have little choice but to choose TSMC to maximize the performance and efficiency of their chips.

Intel (INTC -9.20%) and its nascent foundry business generates little in the way of revenue, but the chip giant is starting to make plenty of noise. The company now has $15 billion worth of deals locked down, spread across manufacturing and advanced packaging. Notably, Intel recently scored Microsoft as a customer for an unnamed future chip.

Betting the company

In a recent interview, Intel CEO Pat Gelsinger didn't mince words when speaking about the importance of the upcoming Intel 18A process node, which Microsoft and other foundry customers will use, saying, "I've bet the whole company on 18A."

Intel expects the Intel 18A process to top TSMC's best in terms of performance and efficiency, capping an incredible turnaround that will see five process nodes launch in four years. Intel 18A is still on track to be ready for volume production by the end of the year.

While Intel offers other manufacturing processes through its foundry, Intel 18A will be the star of the show. A deal with Arm Holdings will optimize the process for Arm-based chips, opening the door for Intel to manufacture chips for smartphones, servers, and everything in between. The Intel 18A process will also be used by the U.S. Department of Defense as part of the effort to bring critical chip manufacturing to U.S. soil.

One key advantage

While the Intel 18A process will deliver plenty of performance and efficiency improvements over Intel's current manufacturing tech on its own, one critical technology will help it stand above the competition from TSMC and Samsung. Intel will be the first foundry to offer backside power delivery, a huge deal for customers seeking high-performance, power-efficient chips.

Intel's take on backside power delivery is called PowerVia. Traditionally, the tiny wires used to deliver power to a chip have sat on top of all the layers that make up a modern semiconductor. This was fine in the past, but as chip technology has advanced, this approach has reached its limit. The wires that deliver power end up competing with the wires that connect components, creating a mess that wastes power and leads to lower efficiency.

PowerVia moves the power interconnects to the backside of the chip, removing this conflict. The company has said that this change enables a 6% increase in clock speed, which translates into higher performance. This performance gain is on top of the performance benefit gained from moving to a more advanced process node.

PowerVia will actually debut with Intel's 20A process, which will be used for the company's Arrow Lake PC chips later this year. Intel 18A will then improve on Intel 20A and be available to foundry customers in 2025.

Intel will be about a year ahead of TSMC in implementing backside power delivery, giving the Intel 18A process a critical advantage as it ramps up production next year. TSMC is expected to introduce the technology in its N2P process node, which won't be coming until sometime in 2026.

Meanwhile, Samsung is reportedly racing to implement the technology. Reports indicate that the company is moving up its plan to use backside power delivery in a process node due to launch sometime in 2025, although this hasn't been confirmed by Samsung. Regardless, Intel will still be the first to market with the technology.

Intel is playing catch-up in semiconductor manufacturing after rampant delays in the past enabled TSMC to pull ahead. For the first time in a long time, Intel will beat TSMC to the punch with a critical new technology. The company has already won over Microsoft, and more major customer wins are likely in the cards as Intel 18A, boosted by backside power delivery, nears completion.